Section courante

A propos

Section administrative du site

ARCHITECTURE

Architecture
VHDL

Syntaxe

architecture architecture_name of entity_name is
architecture_declarations
begin
   concurrent_statements
end [ architecture_name ];
architecture architecture_name of entity_name is
architecture_declarations
begin
   concurrent_statements
end architecture [ architecture_name ];

Paramètres

Nom Description
architecture_name Ce paramètre permet d'indiquer le nom de l'architecture.
entity_name Ce paramètre permet d'indiquer le nom de l'entité.
architecture_declarations Ce paramètre permet d'indiquer le déclarations associé à l'architecture.
concurrent_statements Ce paramètre permet d'indiquer les instructions associés à cette architecture.

Description

Ce mot réservé permet de définir les détails internes d'une entité de conception. Un corps d'architecture définit les relations entre les éléments d'entrée et de sortie de l'entité. Aussi, un corps d'architecture est constitué d'une série d'instructions concurrentes. Un corps d'architecture peut également inclure des processus, des fonctions et des procédures, dont chacun peut inclure des instructions séquentielles. Bien que les instructions à l'intérieur d'un processus soient exécutées de manière séquentielle, le processus lui-même est traité dans le corps de l'architecture comme une instruction concurrente.

Remarques

Voir également

Langage de programmation - VHDL - Références de mots réservés (mots clefs) - ENTITY
Langage de programmation - VHDL - Références de mots réservés (mots clefs) - CONFIGURATION

Dernière mise à jour : Mercredi, le 30 mai 2018