Section courante

A propos

Section administrative du site

END COMPONENT

Fin de composante
VHDL

Syntaxe

component component_name [ is ]
   generic (generic_list);
   port (port_list);
end component component_name;
Déclaration de la composante

Paramètres

Nom Description
component_name Ce paramètre permet d'indiquer le nom de la composante.
generic_list Ce paramètre permet d'indiquer la liste générique de la composante.
port_list Ce paramètre permet d'indiquer la liste de port de la composante.

Description

Ce mot réservé permet d'indiquer la fin d'une instruction COMPONENT.



Dernière mise à jour : Mercredi, le 30 mai 2018