Section courante

A propos

Section administrative du site

END GENERATE

Fin du généré
VHDL

Syntaxe

label : for parameter in range generate
[ { declarations }
begin ]
{ concurrent_statements }
end generate [ label ] ;
label : if condition generate
[ { declarations }
begin ]
{ concurrent_statements }
end generate [ label ];

Paramètres

Nom Description
label Ce paramètre permet d'indiquer le nom de l'étiquette de la génération.
parameter Ce paramètre permet d'indiquer la variable de compteur de la boucle.
range Ce paramètre permet d'indiquer l'intervalle de la boucle.
declarations Ce paramètre permet d'indiquer les déclarations de la génération.
concurrent_statements Ce paramètre permet d'indiquer les instructions concurrents.

Description

Ce mot réservé permet d'indiquer la fin d'une instruction GENERATE.



Dernière mise à jour : Mercredi, le 30 mai 2018