Section courante

A propos

Section administrative du site

PORT MAP

Carte de port
VHDL

Syntaxe

instance_label: component_name
   generic map (generic_association_list)
   port map (port_association_list);

Paramètres

Nom Description
instance_label Ce paramètre permet d'indiquer une instance d'étiquette.
component_name Ce paramètre permet d'indiquer un nom de composante.
generic_association_list Ce paramètre permet d'indiquer une liste d'association générique.
port_association_list Ce paramètre permet d'indiquer une liste d'association de port.

Description

Ce mot réservé permet de cartographié le port associant les signaux des ports d'un bloc aux ports définis en dehors du bloc.



Dernière mise à jour : Mercredi, le 30 mai 2018