Section courante

A propos

Section administrative du site

SEVERITY

Sévérité
VHDL

Syntaxe

severity severity_level;

Paramètres

Nom Description
severity_level Ce paramètre permet d'indiquer le niveau de sévérité :
Constante Description
NOTE Cette constante permet d'indiquer un message d'information pour la simulation
WARNING Cette constante permet d'indiquer une situation inhabituelle dans laquelle la simulation peut être poursuivie, mais les résultats peuvent être imprévisible.
ERROR Cette constante permet d'indiquer une violation d'assertion rendant impossible la poursuite de la simulation.
FAILURE Cette constante permet d'indiquer une violation d'assertion et une erreur fatal arrêtant immédiatement la simulation.

Description

Ce mot réservé permet d'indiquer un sévérité dans une vérification.



Dernière mise à jour : Mercredi, le 30 mai 2018