Section courante

A propos

Section administrative du site

SRL

Décalage logique vers la droite
VHDL

Syntaxe

entier srl decalage

Paramètres

Nom Description
entier Ce paramètre permet d'indiquer une expression de type entier subissant le décalage de bits vers la droite
decalage Ce paramètre permet d'indiquer le décalage de bit vers la droite à effectuer.

Description

Ce mot réservé permet d'indiquer un opérateur de décalage logique vers la droite.



Dernière mise à jour : Mercredi, le 30 mai 2018